Press J to jump to the feed. Press question mark to learn the rest of the keyboard shortcuts
Log In
Found the internet!
Posts
Communities

Posts about VHDL

r/VHDL
2.9k members
Do you have any VHDL design you are proud of, or do you need help with some code this is the place for it.
Visit
r/FPGA
43.7k members
A subreddit for programmable hardware, including topics such as: * FPGA * CPLD * Verilog * VHDL
Visit
r/ECE
173k members
A subreddit for discussion of all things electrical and computer engineering.
Visit
Subreddit Icon
r/ElectricalEngineering
184k members
A place to ask questions, discuss topics and share projects related to Electrical Engineering.
Visit
Subreddit Icon
r/programming
5.6m members
Computer Programming
Visit
Subreddit Icon
r/emacs
66.8k members
The extensible, customizable, self-documenting real-time display editor.
Visit
Subreddit Icon
r/PicoBlaze
17 members
This is an unofficial (not affiliated with Xilinx) community about Xilinx PicoBlaze, an open-source CPU written entirely in VHDL and intended to be synthesized on FPGAs (it is a so-called soft-processor). If you have some assembly-language program you would like to be added into the list of examples in PicoBlaze Simulator in JavaScript, feel free to share it here.
Visit
Subreddit Icon
r/ProgrammerHumor
3.1m members
For anything funny related to programming and software development.
Visit
Subreddit Icon
r/AskElectronics
687k members
A subreddit for practical questions about component-level electronic circuits: design, repair, component buying, test gear and tools.
Visit
Subreddit Icon
r/beneater
16.1k members
Discussion inspired by Ben Eater's YouTube video series.
Visit
Subreddit Icon
r/electronics
916k members
Discussion and news about component-level electronic circuits.
Visit
Subreddit Icon
r/ComputerEngineering
29.1k members
Welcome to r/ComputerEngineering - A community for discussing computer engineering and its related areas (electrical engineering and computer science)!
Visit
490
Subreddit Icon
โ€ขPosted by7 months ago
Archived
Post image
490
68 comments
12
60
โ€ขPosted by1 year ago
60
95 comments
18
13
โ€ขPosted by9 days ago
13
14 comments
62
โ€ขPosted by1 year ago

I've done VHDL, Verilog, and SystemVerilog. I write pretty much exclusively SystemVerilog these days.

After a decade of experience, I've come to a soft conclusion that Verilog is so much better than VHDL. I've seen many comparisons where people show pros/cons and conclude that one is not better than the other. This certainly sounds like a mature conclusion. But in my actual experience, I just don't see how / when / why anyone would prefer VHDL. It just about always takes me much longer to write equivalent VHDL code.

Here are the most commonly cited advantages I hear for VHDL (along with my thoughts):

  1. VHDL forces you to write more correct code. Sure, but at what cost? In my experience, once you get past beginner coder, you're not often going to be making simple typing / width errors. And they're easy to debug when you do. To me, it seems like a bad trade-off to force (sometime complicated) casting upon the user. I don't see how the benefits outweigh the overhead cost in the long-run. Just let me write what I want to write, dang it!

  2. VHDL has library support. I actually see this as a disadvantage. In order to do simple things that Verilog and SystemVerilog has built into the language, you have to import libraries. And there's confusing overlapping implementations between libraries at times. And nobody ever seems to totally know what functions are in what libraries off the top of their heads. This slows down development. You want something like a simple 2D vector? You've gotta create a custom data type and import it everywhere. Furthermore, I find that libraries tend to get out of control over time, and end up bloating up projects.

  3. VHDL is more easy to understand. No its not.

  4. VHDL is deterministic. Referring to a simulation timestep. Okay, I'll give you this one. SystemVerilog has the cumbersome clocking block to deal with this issue.

Overall, I don't see how the benefits ever outweigh the cons. Maybe it has to do with how I think, or where I am in my career? Once I come up with a conceptual solution, I want to be able to translate that into HDL as fast and effortlessly as possible. But VHDL just seems to get in the way in this process, sucking the joy out of the design process.

Please, if you prefer VHDL, change my mind! I actually am open to other perspectives here!

62
73 comments